Index

A | C | G | I | N | O | P | R | S | T | W

A

annotation (class in parsing_routines.gff_gtf_tools)

C

convert_BigWig2Wig() (in module parsing_routines.wig_tools)

G

generic_set_region() (in module parsing_routines.general_classes_and_functions)

I

input_file() (in module script_options.custom_callables)
input_path() (in module script_options.custom_callables)

N

ngseq.renameChromosomes (module)
ngseq.summarizeWigPerFeature (module)
ngseq.switchStrand (module)

O

openfile() (in module parsing_routines.general_classes_and_functions)
output_file() (in module script_options.custom_callables)
output_path() (in module script_options.custom_callables)

P

parse_gff_v3() (in module parsing_routines.gff_gtf_tools)
parsing_routines.general_classes_and_functions (module)
parsing_routines.gff_gtf_tools (module)
parsing_routines.wig_tools (module)

R

region (class in parsing_routines.general_classes_and_functions)

S

script_logging.standard_logging (module)
script_options.custom_callables (module)
script_options.standard_parsers (module)
setUp() (tests.custom_callables.TestParsingRoutines method)
(tests.general_classes_and_functions.TestGeneralClasses method)
(tests.gff_gtf_tools.TestGFFGTFTools method)
(tests.standard_logging.TestStandardLogging method)
(tests.standard_parsers.TestStandardArgparse method)
(tests.wig_tools.TestWigTools method)
standard_logger() (in module script_logging.standard_logging)
standard_parser() (in module script_options.standard_parsers)

T

tearDown() (tests.custom_callables.TestParsingRoutines method)
(tests.standard_logging.TestStandardLogging method)
(tests.standard_parsers.TestStandardArgparse method)
test_annotation() (tests.gff_gtf_tools.TestGFFGTFTools method)
test_generic_set_region() (tests.general_classes_and_functions.TestGeneralClasses method)
test_input_file() (tests.custom_callables.TestParsingRoutines method)
test_input_path() (tests.custom_callables.TestParsingRoutines method)
test_openfile() (tests.general_classes_and_functions.TestGeneralClasses method)
test_output_file() (tests.custom_callables.TestParsingRoutines method)
test_output_path() (tests.custom_callables.TestParsingRoutines method)
test_parse_gff_v3() (tests.gff_gtf_tools.TestGFFGTFTools method)
test_region() (tests.general_classes_and_functions.TestGeneralClasses method)
test_standard_logger_attributes() (tests.standard_logging.TestStandardLogging method)
test_standard_logger_basic_logging() (tests.standard_logging.TestStandardLogging method)
test_standard_logger_parsed_arguments() (tests.standard_logging.TestStandardLogging method)
test_standard_parser_infile() (tests.standard_parsers.TestStandardArgparse method)
test_standard_parser_log() (tests.standard_parsers.TestStandardArgparse method)
test_standard_parser_outfile() (tests.standard_parsers.TestStandardArgparse method)
test_standard_parser_returns() (tests.standard_parsers.TestStandardArgparse method)
test_standard_parser_tmpdir() (tests.standard_parsers.TestStandardArgparse method)
test_standard_parser_verbosity() (tests.standard_parsers.TestStandardArgparse method)
test_wigData_and_wigTrack_set_region() (tests.wig_tools.TestWigTools method)
test_wigData_BigWig() (tests.wig_tools.TestWigTools method)
test_wigData_multi_chromosome() (tests.wig_tools.TestWigTools method)
test_wigTrack_fixedStep() (tests.wig_tools.TestWigTools method)
test_wigTrack_region_functions() (tests.wig_tools.TestWigTools method)
test_wigTrack_variableStep() (tests.wig_tools.TestWigTools method)
TestGeneralClasses (class in tests.general_classes_and_functions)
TestGFFGTFTools (class in tests.gff_gtf_tools)
TestParsingRoutines (class in tests.custom_callables)
tests.custom_callables (module)
tests.general_classes_and_functions (module)
tests.gff_gtf_tools (module)
tests.standard_logging (module)
tests.standard_parsers (module)
tests.wig_tools (module)
TestStandardArgparse (class in tests.standard_parsers)
TestStandardLogging (class in tests.standard_logging)
TestWigTools (class in tests.wig_tools)

W

wigData (class in parsing_routines.wig_tools)
wigTrack (class in parsing_routines.wig_tools)